实验一.七人表决器

河 北 科 技 大 学

实 验 报 告

12级 电信专业 123 班学号Z120701306 15年5月20日 姓 名 张娟 同组人 指导教师 于国庆 实验名称 实验一 七人表决器 成绩 实验类型 设计型 批阅教师

一、实验目的

(1)掌握MUXPLUS II语言输入的设计过程。 (2)初步了解VHDL语言。

(3)熟悉FPGA项目设计的基本流程。 二、实验原理:

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”; 输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”; 输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。

表决器输入采用试验箱K1~K16,输出采用试验箱L14、L16指示;同意绿灯亮,否则红灯亮。

三、实验内容及步骤

1.打开MUXPLUS II VHDL编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。

实验程序如下:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vote7 is

port (men :in std_logic_vector(6 downto 0);

pass,stop : buffer std_logic);

end vote7;

architecture behave of vote7 is begin stop

variable temp:std_logic_vector(2 downto 0); begin

temp:="000"; for i in 0 to 6 loop if(men(i)='1') then temp:=temp+1; else

temp:=temp+0; end if; end loop; pass

2、建立仿真波形文件,使用 MAXPLUS II Simulator功能进行功能仿真。 仿真结果如下:

3、目标器件选择与管脚锁定并重新编译、综合、适配。 FPGA型号:EP1K100QC208-3 引脚绑定:

4、下载并验证结果

将编译好的程序进行引脚锁定及硬件配置后便可下载到目标芯片中,我们将程序下载到芯片中后结果输出灯stop亮pass灭,当我们按下men0到men6任意四个或四个以上按键时stop灭pass亮,实验结果符合预期效果,结果正确。 四、实验结果与总结

我们这次EDA实验课设计了一个双LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意,同意绿灯亮反对红灯亮。通过这次实验我们加深了对EDA这门课程的理解,学会了如何使用MAX PLUSⅡ进行VHDL语言编程以及将程序下载到芯片上,增加了对学习VHDL语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知识。

河 北 科 技 大 学

实 验 报 告

12级 电信专业 123 班学号Z120701306 15年5月20日 姓 名 张娟 同组人 指导教师 于国庆 实验名称 实验一 七人表决器 成绩 实验类型 设计型 批阅教师

一、实验目的

(1)掌握MUXPLUS II语言输入的设计过程。 (2)初步了解VHDL语言。

(3)熟悉FPGA项目设计的基本流程。 二、实验原理:

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”; 输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”; 输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。

表决器输入采用试验箱K1~K16,输出采用试验箱L14、L16指示;同意绿灯亮,否则红灯亮。

三、实验内容及步骤

1.打开MUXPLUS II VHDL编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。

实验程序如下:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vote7 is

port (men :in std_logic_vector(6 downto 0);

pass,stop : buffer std_logic);

end vote7;

architecture behave of vote7 is begin stop

variable temp:std_logic_vector(2 downto 0); begin

temp:="000"; for i in 0 to 6 loop if(men(i)='1') then temp:=temp+1; else

temp:=temp+0; end if; end loop; pass

2、建立仿真波形文件,使用 MAXPLUS II Simulator功能进行功能仿真。 仿真结果如下:

3、目标器件选择与管脚锁定并重新编译、综合、适配。 FPGA型号:EP1K100QC208-3 引脚绑定:

4、下载并验证结果

将编译好的程序进行引脚锁定及硬件配置后便可下载到目标芯片中,我们将程序下载到芯片中后结果输出灯stop亮pass灭,当我们按下men0到men6任意四个或四个以上按键时stop灭pass亮,实验结果符合预期效果,结果正确。 四、实验结果与总结

我们这次EDA实验课设计了一个双LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意,同意绿灯亮反对红灯亮。通过这次实验我们加深了对EDA这门课程的理解,学会了如何使用MAX PLUSⅡ进行VHDL语言编程以及将程序下载到芯片上,增加了对学习VHDL语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知识。


相关内容

  • 数电七人表决器课程设计 3
  • 电子技术课程设计 课题:表决器电路设计 系 别: 电气与电子工程系 专 业: 电气工程及其自动化 姓 名: 002 学 号: 0914111441 河南城建学院 2012年 06 月 21 日 成绩评定· 一.指导教师评语 二.评分 课程设计成绩评定 一.设计目的 1.本课程设计是在前导验证性认知实 ...

  • 四选一.四位比较器.加法器.计数器.巴克码发生器.七人表决器
  • EDA 实验报告 姓名: 学号: 班级: 实验1 4选1数据选择器的设计 一.实验目的 1.学习EDA 软件的基本操作. 2.学习使用原理图进行设计输入. 3.初步掌握器件设计输入.编译.仿真和编程的过程. 4.学习实验开发系统的使用方法. 二.实验仪器与器材 1.EDA 开发软件 一套 2.微机 ...

  • 数字逻辑电路设计实验指导书
  • 数字逻辑电路设计实验指导书 实验一 半加器和全加器I 实验平台 实验要求 使用TTL 小规模集成电路芯片74LS00搭建半加器电路和全加器电路,电路的输入接实验平台开关,电路输出接实验平台的发光管.希望同学们能够通过实验掌握使用基本门电路设计实现数字电路的方法. 实验原理 在数字电路中,门电路就是用 ...

  • 武汉大学博士生毕业要求2010版
  • 武汉大学关于申请博士学位及其学位论文答辩 须知 一.申请博士学位的基本条件 (一)申请博士学位人员必须拥护中国共产党的领导,拥护社会主义制度,遵纪守法.品行端正,具有良好的政治素质和相应的学术水平. (二)申请博士学位人员必须通过规定的学位课程考试,成绩合格,且博士学位论文已完成,有一定的科研成果, ...

  • 法律知识办法辽宁省村民委员会选举
  • 辽宁省村民委员会选举办法 (2000年7月28日辽宁省第九届人民代表大会常务委员会第十七次会议通过 根据2003年11月28日辽宁省第十届人民代表大会常务委员会第六次会议<关于修改的决定>修正) 第一章 总则 第一条 为了规范村民委员会选举工作,保障村民依法行使民主权利,根据<中华 ...

  • 地方立法机关组织准则
  • [法规名称] 地方立法机关组织准则 [颁布部门] [颁布时间] 2002-08-21 [效力属性] 已修正 [正 文] 地方立法机关组织准则 第 1 条 本准则依地方制度法 (以下简称本法) 第五十四条第一项至第三项规定订定之. 第 2 条 本准则所称地方立法机关,指直辖市议会.县 (市) 议会.乡 ...

  • 山西省村民选举办法
  • 山西省村民委员会选举办法 (2005年7月29日山西省第十届人民代表大会常务委员会第十九次会议通过,根据2011年7月28日山西省第十一届人民代表大会常务委员会第二十四次会议关于修改<山西省村民委员会选举办法>的决定修正) 第一章 总 则 第一条 为保障村民行使选举权利,规范村民委员会选 ...

  • "七人帮"创业 东拼西凑2000元起家
  • "七人帮"创业 东拼西凑2000元起家 2007-06-30 07:00 与一般民营企业不同的是,当代集团的创始人是"七人帮"--武汉大学7个研究生.他们是周汉生.艾路明.张晓东.张小东.潘瑞军.贺锐.陈华,平均年龄28岁,最大37岁.最小23岁. 1987年 ...

  • 村党支部民主决策制度
  • 村党支部民主决策制度 一.民主选举制度 根据<中华人民共和国村民委员会组织法>和<山东省村民委员会选举办法>制定本制度. 1.村委会选举工作应坚持公平.工作.公开的原则,任何组织或者个人不得干扰选举工作,侵犯村民民主选举权. 2.村委会主任.副主任.委员由年满十八周岁的具有本 ...