伺服电机控制毕业设计.

直流伺服电机控制器

专业名称:电气自动化

姓 名:

联系电话:

【摘要】建立一个永磁无刷直流电动机的数学模型,分析了BLDCM 的控制特性及产生转矩脉动的原因,并对其交流伺服系统控制策略进行了综合评价,提出该系统今后发展方向。近年来,随着高性能永磁材料、微电子技术和电力电子技术特别是大功率半导体器件的快速发展,永磁同步电机得到迅速发展,由于其调速性能优越,克服了直流伺服电动机机械式换向器和电刷带来的一系列限制,且体积小、重量轻、效率高、转动惯量小、不存在励磁损耗问题,因而在高性能,高精度的伺服驱动等领域具有广阔的应用前景。永磁同步电动机按其工作原理、驱动电流和控制方式的不同,可分为具有正弦波反电势的永磁同步电动机(PMSM )和具有梯形波反电势的永磁同步电动机,后者又称为无刷直流电动机(BLDCM )。BLDCM 和PMSM 相比,具有更明显的优越性:反馈装置简单,不需要采用永磁同步伺服电机(PMSM )中的绝对位置编码器或旋转变压器;控制结构更为简便,能使电机和逆变器各自的潜力得到更充分的发挥。因此,永磁无刷直流伺服电机的应用和研究受到广泛的重视。本文从建立永磁无刷直流伺服电动机的数学模型出发,分析了转矩脉动的原因,并对其控制策略等进行了综合探讨。 1 无刷直流伺服电机的组成用装有永磁体的转子取代有刷直流电动机的定子磁极,用具有多相绕组的定子取代电枢,用由固态逆变器和轴位置检测器组成的电子换向器取代机械换向器和电刷,就得到了无刷直流电动机。无刷直流电动机一般由永磁同步电动机、转子位置检测器和电流控制的电压型逆变器组成,见图1。其一相的理想反电动势波形是具有120°电角度平台的梯形波,并由120°方波电流(相电流)供电。其方波电流和梯形波反电动势见图2。图1 永磁无刷直流电动机的组成框图图2 BLDCM 的方波电流和梯形波反电动势 2 无刷直流电机的数学模型

【关键词】 无刷直流电动机(BLDCM); 转矩脉动; 伺服系统中图分类号:TM301.2

目录

第1章 直流伺服电机概述

1.1 伺服的含义…………………………………………………………5

1.2 研究现状……………………………………………………………5

1.3 研究思路……………………………………………………………5

第2章 直流无刷伺服电机

2.1 直流无刷电机的优越性………………………………………………7

2.2 直流无刷电机的控制结构……………………………………………7

2.2.1 P.I.D控制简介……………………………………………………9

2.2.2 电机驱动器的保护措施……………………………………………9

第3章 基于FPGA 直流伺服电机控制器设计

3.1 控制器的构成…………………………………………………………10

3.2 VHDL设计伺服电机控制器……………………………………………13

3.2.1 总线控制器的设计…………………………………………………13

3.2.2系统控制策略及PWM 调制方式…………………………………… 14

3.2.3 PWM 调制方式…………… ………………………………………14

3.3 PWM控制器设计…………… ……………………………………… 16

3.4电机编码器捕获单元设计…………………………………………… 17

3.5 分频器l 设计…………………………………………………………19 结论………………………………………………………………………20 致谢………………………………………………………………………21 参考文献………………………………………………………………22

引言

直流伺服电机处理器.如单片机,DSP 具有产生PWM 信号和捕获电机编码器信号的能力,但对IC 产生PWM 信号的通道数目和电机编码器捕获通道数目有限。直流电机由于具有速度控制容易,启、制动性能良好,且在宽度范围内平滑调速等特点而在冶金、季节制造、轻工等工业部门中得到广泛应用,直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法,励磁控制法控制磁通,其控制功率虽小,但低速时受到饱和和限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈的电感较大,动态响应较差。所以常用的控方法是改变电枢端电压调速的电枢电压控制法。调节电阻R 即可改变端电压,达到调速目的。但这种传统的调压调速的方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM (脉宽调制)是常用的一种调速方法,其原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达转速,在脉宽调速系统中,当电机通电时,其速度增加:电机断电时,其速度减低。只要按照一定的规律改变通、断电时间,即可使电机的速度达到并保持一稳定值。近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。本电机调速系统采用脉宽调制方法,与晶闸管调速相比技术先进,可减少对电源的污染。为使整个系统能正常安全的运行,设计了过流、过载、过压、欠压保护电路,另外还有过压吸收电路。确保了系统的可靠运行。对多个直流电机的伺服控制很难满足要求。故设计基于FPGA 直流伺服电机控制器。

第一章 直流伺服电机概述

1.1 私服的含义 伺服来自英文单词Servo ,指系统跟随外部指令进行人们所期望的运动,运动要素包括位置、速度和力矩。伺服系统的发展经历了从液压、气动到电气的过程,而电气伺服系统包括伺服电机、反馈装置和控制器。在20世纪60年代,最早是直流电机作为主要执行部件,在70年代以后,交流伺服电机的性价比不断提高,逐渐取代直流电机成为伺服系统的主导执行电机。控制器的功能是完成伺服系统的闭环控制,包括力矩、速度和位置等。我们通常说的伺服驱动器已经包括了控制器的基本功能和功率放大部分。

1.2 研究现状 在控制策略上,基于电机稳态数学模型的电压频率控制方法和开环磁通轨迹控制方法都难以达到良好的伺服特性,目前普遍应用的是基于永磁电机动态解耦数学模型的矢量控制方法,这是现代伺服系统的核心控制方法。虽然人们为了进一步提高控制特性和稳定性,提出了反馈线性化控制、滑模变结构控制、自适应控制等理论,还有不依赖数学模型的模糊控制和神经元网络控制方法,但是大多在矢量控制的基础上附加应用这些控制方法。还有,高性能伺服控制必须依赖高精度的转子位置反馈,人们一直希望取消这个环节,发展了无位置传感器技术(Sensorless Control)。至今,在商品化的产品中,采用无位置传感器技术只能达到大约1:100的调速比,可以用在一些低档的对位置和速度精度要求不高的伺服控制场合中,比如单纯追求快速起停和制动的缝纫机伺服控制,这个技术的高性能化还有很长的路要走。

1.3 研究思路

直流电机具有响应快速、较大的起动转矩、从零转速至额定转速具备可提供额定转矩的性能,但直流电机的优点也正是它的缺点,因为直流电机要产生额定负载下恒定转矩的性能,则电枢磁场与转子磁场须恒维持

90°,这就要藉由碳刷及整流子。碳刷及整流子在电机转动时会产生火花、碳粉因此除了会造成组件损坏之外,使用场合也受到限制。交流电机没有碳刷及整流子,免维护、坚固、应用广,但特性上若要达到相当于直流电机的性能须用复杂控制技术才能达到。现今半导体发展迅速功率组件切换频率加快许多,提升驱动电机的性能。微处理机速度亦越来越快,可实现将交流电机控制置于一旋转的两轴直交坐标系统中,适当控制交流电机在两轴电流分量,达到类似直流电机控制并有与直流电机相当的性能。此外已有很多微处理机将控制电机必需的功能做在芯片中,而且体积越来越小;像模拟/数字转换器(Analog-to-digital converter ,ADC) 、脉冲宽度调制(pulse wide modulator ,PWM)…等。直流无刷电机即是以电子方式控制交流电机换相,得到类似直流电机特性又没有直流电机机构上缺失的一种应用。

第二章 直流无刷私服电机

2.1 直流无刷电机的优越性 直流电机具有响应快速、较大的起动转矩、从零转速至额定转速具备可提供额定转矩的性能,但直流电机的优点也正是它的缺点,因为直流电机要产生额定负载下恒定转矩的性能,则电枢磁场与转子磁场须恒维持90°,这就要藉由碳刷及整流子。碳刷及整流子在电机转动时会产生火花、碳粉因此除了会造成组件损坏之外,使用场合也受到限制。交流电机没有碳刷及整流子,免维护、坚固、应用广,但特性上若要达到相当于直流电机的性能须用复杂控制技术才能达到。现今半导体发展迅速功率组件切换频率加快许多,提升驱动电机的性能。微处理机速度亦越来越快,可实现将交流电机控制置于一旋转的两轴直交坐标系统中,适当控制交流电机在两轴电流分量,达到类似直流电机控制并有与直流电机相当的性能。 此外已有很多微处理机将控制电机必需的功能做在芯片中,而且体积越来越小;像模拟/数字转换器(Analog-to-digital converter ,ADC) 、脉冲宽度调制(pulse wide modulator ,PWM)…等。直流无刷电机即是以电子方式控制交流电机换相,得到类似直流电机特性又没有直流电机机构上缺失的一种应用。

2.2 直流无刷电机的控制结构

直流无刷电机是同步电机的一种,也就是说电机转子的转速受电机定子旋转磁场的速度及转子极数(P)影响:N=120.f / P 。在转子极数固定情况下,改变定子旋转磁场的频率就可以改变转子的转速。直流无刷电机即是将同步电机加上电子式控制(驱动器) ,控制定子旋转磁场的频率并将电机转子的转速回授至控制中心反复校正,以期达到接近直流电机特性的方式。也就是说直流无刷电机能够在额定负载范围内当负载变化时仍可以控制电机转子维持一定的转速。

直流无刷驱动器包括电源部及控制部如图(1):电源部提供三相电源给电机,控制部则依需求转换输入电源频率。电源部可以直接以直流电输入(一般为24V) 或以交流电输入(110V/220 V) ,如果输入是交流电就得先经转换器(converter)转成直流。不论是直流电输入或交流电输入要转入电机线

圈前须先将直流电压由换流器(inverter)转成3相电压来驱动电机。换流器(inverter)一般由6个功率晶体管(Q1~Q6) 分为上臂(Q1、Q3、Q5)/下臂(Q2、Q4、Q6) 连接电机作为控制流经电机线圈的开关。控制部则提供PWM(脉冲宽度调制) 决定功率晶体管开关频度及换流器(inverter)换相的时机。直流无刷电机一般希望使用在当负载变动时速度可以稳定于设定值而不会变动太大的速度控制,所以电机内部装有能感应磁场的霍尔传感器(hall-sensor),做为速度闭环回路控制,同时也做为相序控制的依据。但这只是用来做为速度控制并不能拿来做为定位控制。要让电机转动起来,首先控制部就必须根据hall-sensor 感应到的电机转子目前所在位置,然后依照定子绕线决定开启(或关闭) 换流器(inverter)中功率晶体管的顺序,如下(图二)inverter 中之AH 、BH 、CH(这些称为上臂功率晶体管) 及AL 、BL 、CL(这些称为下臂功率晶体管) ,使电流依序流经电机线圈产生顺向(或逆向) 旋转磁场,并与转子的磁铁相互作用,如此就能使电机顺时/逆时转动。当电机转子转动到hall-sensor 感应出另一组信号的位置时,控制部又再开启下一组功率晶体管,如此循环电机就可以依同一方向继续转动直到控制部决定要电机转子停止则关闭功率晶体管(或只开下臂功率晶体管) ;要电机转子反向则功率晶体管开启顺序相反。基本上功率晶体管的开法可举例如下:

AH、BL 一组→AH、CL 一组→BH、CL 一组→BH、AL 一组→CH、AL 一组→CH、BL 一组

但绝不能开成AH 、AL 或BH 、BL 或CH 、CL 。此外因为电子零件总有开关的响应时间,所以功率晶体管在关与开的交错时间要将零件的响应时间考虑进去,否则当上臂(或下臂) 尚未完全关闭,下臂(或上臂) 就已开启,结果就造成上、下臂短路而使功率晶体管烧毁。

当电机转动起来,控制部会再根据驱动器设定的速度及加/减速率所组成的命令(Command)与hall-sensor 信号变化的速度加以比对(或由软件运算) 再来决定由下一组(AH、BL 或AH 、CL 或BH 、CL 或……)开关导通,以及导通时间长短。速度不够则开长,速度过头则减短,此部份工作就由PWM 来完成。PWM 是决定电机转速快或慢的方式,如何产生这样的PWM 才是要达到较精准速度控制的核心。高转速的速度控制必须考虑到系统的CLOCK 分辨率是否足以掌握处理软件指令的时间,另外对于hall-sensor 信号变化的资料存取方式也影响到处理器效能与判定正确性、实时性。至于低转速的速度控制尤其是低速起动则因为回传的hall-sensor 信号变化变得更慢,怎样撷取信号方式、处理时机以及根据电机特性适当配置控制参数值就显得非常重要。或者速度回传改变以encoder 变化为参考,使信号分辨率增加以期得到更佳的控制。电机能够运转顺畅而且响应良好,P.I.D. 控制的恰当与否也无法忽视。之前提到直流无刷电机是闭回路控制,因此回

授信号就等于是告诉控制部现在电机转速距离目标速度还差多少,这就是误差(Error)。知道了误差自然就要补偿,方式有传统的工程控制如P.I.D. 控制。但控制的状态及环境其实是复杂多变的,若要控制的坚固耐用则要考虑的因素恐怕不是传统的工程控制能完全掌握,所以模糊控制、专家系统及神经网络也将被纳入成为智能型P.I.D. 控制的重要理论。

2.2.1 P.I.D控制简介

一般P.I.D 控制如下

(dutycycle)=(dutycycle)p + (dutycycle)i + (dutycycle)d

P. 控制(比例控制) :输出与输入误差讯号成正比关系,即将误差固定比例修正,但系统会有稳态误差。

I . 控制(积分控制) :当系统进入稳态有稳态误差时,将误差取时间的积分,即便误差很小也能随时间增加而加大,使稳态误差减小直到为零。

D. 控制(微分控制) :当系统在克服误差时,其变化总是落后于误差变化,表示系统存在较大惯性组件或(且) 有滞后组件。微分即是预测误差变化的趋势以便提前作用避免被控量严重冲过头。

2.2.2 电机驱动器的保护措施

对于驱动器还要有保护措施,当负载过大或不当使用时会造成大电流而将功率晶体管烧毁。为了保护因电流超过规格而破坏驱动器,一般会以加大功率晶体管耐电流或加电流sensor 做为保护。其次当电机负载不小的时候,在停止转动时由电机端回送至驱动器的能量及过电压都将危及驱动器,这可配合过电压保护电路加上回生能量消散电路来防治。其它尚有hall-sensor 正常与否判定也会影响PWM 控制的正确性,这可由控制部判断并适时警告即可。

DC无刷电机系列控制疑难杂症处理案例欲以电流值的大小来判断目前电机的负载状况是否有过载的迹象,该如何测量? 将电源线的其中一条拔起后,将电表(请先调至安培档)的一端接至驱动器的电源CONNECTOR 其中一接脚,另一端则接至电源插座的另一接脚,如此即可测量出在现阶段的负载下所必须耗费的电流值,之后再依此电流值来对照电机的电流/扭力对照表,如此即可得知目前的负载状况是正常或是否有过载的情形发生。制直流伺服电机电磁转矩和速度的方法有两种:

(1) 改变电枢电压Ua 即改变电枢电流Ia 的方法;

(2

) 改变励磁电流If 即改变磁通ф的方法。

3 基于FPGA 直流伺服电机控制器设计

3.1 控制器构成

在Cyclone EP2C5T FPGA 内部设计各4路PWM 发生器和编码器脉冲捕获单元。其PWM 信号通道和编码器脉冲捕获单元数目可基于VHDL 硬件描述语言按需调整。如图1。包括总线和4路PWM 控制器、4路脉冲捕获单元、分频器l ,分频器2。总线控制器根据WR 、RD 、ALE 信号完成FPGA 内部地址锁存及8位OUTPUT 总线.8位INPUT 总线的控制。FPGA 外接20 MHz有源晶振,时钟信号经分频器1分频后得到20kHz 占空比为50%的方波信号.4路PWM 控制器以该信号为基准,产生频率为20kHz ,占空比可调的4路PWM 信号.4路PWM 信号可依4位地址线独立控制。4路脉冲捕获单元用于对电机编码器脉冲信号进行捕获.通过总线控制器将脉冲捕获值读出到8位I /O 口上。 伺服控制器已成为不仅仅是放大器的伺服电机。今天,伺服控制器必须能够作出了一系列决定,并提供一种手段,接收信号来自外部传感器和控制系统中,并发出信号,主机控制器和PLC 的接口,可能与伺服系统。图11-87显示了图片的几个伺服电机和放大器。各组成部分在这个图片看起来类似的各种其他类型的电机和控制器。 图11-88显示了图伺服控制器,以便您可以看到一些分歧与其他类型的电机控制器。该控制器在此图是直流伺服电机。该控制器有三个港口,使信号或发送信号的控制器。电源供应器,伺服电机,和转速表连接到端口P3底部的控制器。你可以看到,电源电压为115伏单相交流。一个主要的断开连接的一系列与李线。在李和N 线路供电的隔离降压变压器。二次电压跨前可以是任何电压的20和85伏特。该控制器接地端8 。你应该记住,地面在这一点上是用来提供短路保护所有金属部件的系统

该伺服电机连接到终端控制器在第4和第5 。终端5 +和终端4 -. 3号候机楼提供了地面的屏蔽的连接线,电机和控制器。在转速表连接到终端1和2 。 2号候机楼是+和终点站1 -.在此盾构电缆接地电动机案件。电线连接到这个港口将大于导线连接到其他港口,因为它们必须能够携带更大的电机电流。如果电动机采用了外置散热风扇,这将是连接通过这个港口。在大多数情况下,冷却风扇将采用单相或三相交流电压保持在恒定的水平,如110伏或240伏。

图11-86 (一)陈德良,sistors 连接到三个绕组的无刷伺服电机。

(二)波形的三个独立的电压,用于功率电机的三个风消息。(三)波形的信号用来控制晶体管序列,提供了波形图前,(四)波形的整体反电势。 分频器2将20 kHz方波信号20000分频得到IHz 占空比为50%的方波信号,直接驱动LED 灯,指示系统状态.EP2C5FPGA 内部逻辑在Qoartusll 。 6.0环境下使用VHDL 硬件描述语言实现。

3.2 VHDL设计伺服电机控制器

3.2.1总线控制器设计

写入伺服电机控制器是PWM 调节值,读出的是电机编码器数值.因此.需设计总线控制器完成上述过程.基于FPGA 的直流伺服电机控制器为外部处理器(如单片机等)提供总线接口。类似8051的MCU 地址线、数据线复用的特点设计了总线控制器.控制总线包括WR 、RD 、ALE ;数据/地址总线为8位I /O 。

总线控制器逻辑电路如图2,使用2片74244实现。WR 、RD 信号使输入/输出总线交替进入高阻态,实现伺服电机控制器数据的写入或读出。下面介绍系统各部分组成及信号流程。

1) 通信部分:实现位置给定信号的数字化,上位机通过专用通信芯片将位置给定信号发送到DSP ,同时接收DSP 反馈的负载当前位置信号。

2) 信号反馈及功率保护部分:系统将所采样的电流、位置反馈信号经

滤波和电平变换,送入DSP 的A /D 采样引脚。功率保护信号经电平变换后直接送入DSP 的功率保护引脚,当出现低电平时,将触发DSP 的功率保护中断,DSP 将封锁对应通路的PWM 波。

3) 转子位置同步部分:霍尔传感器将电机转子位置以三路高低电平信号传人DSP 的GPIO 引脚,DSP 将采样所得信号与换相表相比较控制三相逆变器功率管的开通关断使之与转子位置相匹配。

4) 隔离、驱动及逆变部分:DSP 发出的PWM 波经光耦隔离后送入功率驱动芯片,经过功率放大后送入三相逆变器的功率管的栅极和源极之间,控制功率管的开关动作。

5) 电机、减速机构及负载部分:三相逆变电路控制电机动作,电机输出经减速装置带动负载。

系统负载为弹性负载,即零位负载转矩为零,向正负两方向偏转越大,负载转矩越大。

3.2.2 系统控制策略及PWM 调制方式

控制策略

本位置伺服系统控制策略采用位置一速度一电流三环控制。三环参数调试时遵循从内环到外环的顺序,先调试电流环,然后是速度环,最后位置环。

电流环处于最内环,调试时首先考虑其快速跟随性,即输出电流能够快速跟随给定电流的变化。本系统电流环采用比例控制算法。

速度环为三环中的中间环,采用PI 控制算法。

速度环的反馈由位置反馈的微分产生,由于位置反馈信号中存在较大的干扰,直接微分所得速度反馈信号失真情况严重,故通过对位置反馈信号进行模拟和数字滤波,延长位置微分信号之间的时间间隔(选取10个位置采样周期的间隔计算一次速度) ,对速度信号进行再次数字滤波等措施来改善。

位置环作为最外环,直接决定了伺服系统的动和静态性能,故位置环的调试是三环中最关键的部分。传统PID 算法虽具有结构简单、调试方便等特点,可是KpKiKd 三个参数固定,难以同时保证系统具有较快的响应速度、较好的稳态精度和较小的超调量。由于系统负载为弹性负载,故负载力矩的大小与负载偏离零位的角度成正比;并且负载从零位到给定位置过程中,负载力矩也在逐渐增大,而如果KpKiKd 三个参数固定,则系统的快速性及超调量较难同时达到较好的状态。综合上述两点,结合实验调试经验,位置环采用非线性PID 控制,根据位置给定信号的大小和位置给定与位置反馈的差值作为判断条件,在不同的条件下采用不同的PID 结构和参数。在实际调试过程中,由于位置反馈信号中存在较大的随机干扰信号,加入积分后,系统总是难以调试到令人满意的状态,而采用非线性PD 控制

经过调试后较容易达到系统的各项性能指标要求。

3.2.3 PWM调制方式

逆变器多采用三相六状态120°两两导通方式,在每一瞬间有两个功率管导通,每隔1/6电周期(60°电角度) 换相一次,每次换相一个功率管,每一个功率管在一个电周期内导通120°电角度。

在这种方式下,先判断电机当前是正转还是反转,正反转分别对应一个换相表,图2所示。为传统双极型PWM 调制方式的功率管开关表。在一种转向下,一个PWM 周期内MOSFET 、桥中只有两个管子有效,即高电平时导通,低电平时截止。此时如占空比为O 时,无电压输出,电机不动;占空比为100%时,输出电压最大,电机输出最大转矩。这种情况时,系统对较小角度的响应不明显,造成其各动作过程存在实验结果难以重现的问题。且灵敏度下降,跟踪一个渐变信号时容易出现“爬行" 现象。

根据PWM 控制方式存在的问题,决定采用改进双极型PW_M控制方案:在每一瞬间有两个功率管导通,一个PW_M周期内共有4个功率管出现开关现象,他们在三相逆变器中为其中两相的上下桥臂。

这4个功率管和电机、直流母线组成两个独立回路。在三相六状态120两两导通方式下,一个PWM 周期内,只会有2个桥臂的功率管有开关现象,另一桥臂的功率管始终关断。改进双极型PWM 控制方案如图3所示。每一有开关现象的桥臂的上下两个功率管的PwM 控制信号始终互补(暂不考虑死区) ,即上功率管导通时,下功率管关断;上功率管关断时,下功率管导通。

因此,PWM 信号的高电平对应一条通路(1、4) 导通,而低电平则对应与之相对的另一通路(2、3) 导通。从使电机正反转的角度来说,由于这两条通路导通时对应的输出电压是正负相反的,所以这两条通路分别产生使电机正转和反转的效果。

当PWM 的频率较高时,这种正反转交替的现象不明显,对于电机停在一稳定位置时,功率管在不断换相,但从位置信号、声音等方面都和电机断电静止时没有明显差别,只是观测其电流可以看到有高频波动,不过幅值较小,故当占空比为50%时,高低电平所占时间相同,此时电机转子位置不变,即负载位置不变;当占空比为O 时,电机以最大转矩向一个方向转动(本系统为正向) ;当占空比为1 OO %时,电机以最大转矩向另一个方向转动(本系统为负向) 。

这种控制方式相对于传统的控制方式具有对称性好、灵敏度高、实验一致性好等优点。同时由于增加了功率管的开关动作,造成了额外的开关损耗和稳态电流损耗。为达到良好的控制性能,这些是可以接受的。而且由于每个PWM 周期内导通桥臂的上下两个功率管都进行换相,增加了出现功率桥臂直通的可能性,因此在软硬件方面都要采用防直通措施。

实验结果带额定负载、给定+2°

2) 带额定负载、给定O °与±2°之间位置阶跃信号时的反馈波形

3) 带额定负载、给定+1 O°位置阶跃信号时的反馈波形如图6所示。 实验结果过渡过程时间(40 ms)、超调量(O)、稳态精度等方面都可以达到系统控制要求。

3.3 PWM控制器设计

PWM 控制器的VHDL 硬件描述语言如下:

ARCHITECTURE BEHAVIOR OF

PWMCONTROLIER IS

signal count:std_logic_vector(7 downto 0);

signal value:std_logic_vector(7 downto 0);

signal databus:std_logic_vetor(7 downto 0);∥数据线 signal address:std_logic_vetor(3 downto 0); ∥地址线 signal setaddress:std_logic_vetor(3 downto 0); ∥ID SIGNAL PWM0;std_logic; ∥PWM 信号输出

begin

set address

EN

P1:process(ALE,WR,P27,P26,P25,P24)

begin

if(ALE=1) then

address

elsif(wr=0 and address=set address)then

databus

end if;

end process p1;

p2:process (clk) ∥clk 为20KHZ 方波信号

begin

if(clk EVENT and clk=1) then

coun

if(count

pwm 0

else pwm 0

end if ;

end if ;

end process p2;

pwm

end behavior.

因设计中有4路PWM 控制器,为每个控制器分配一个ID 。上面程序中setAddress 为该PWM 控制器的ID ,当地址线Address 选中该ID 时,表明对该PWM 控制器操作.利用ALE 、WR 信号将地址线信号锁存。每个clk 信号上升沿到来时将count 加l 处理。比较count 与databus 的值,实现PWM 信号占空比的调整。并可根据需要在FPGA 资源允许前提下,任意增加PWM 控制器。

3.4电机编码器捕获单元设计

电机编码器捕获单元获得电机码盘信号后,通过总线控制器送给MPU 读取,来判断电机的转动速度。VHDL 硬件描述语言的程序源码如下:

ARCHITURE BEHAVIOR OF COUNTER IS

signal countL:logic_vetor (7 down to 0);

signal countH:logic_vetor (7 down to 0);

signal port0:std_logic_vetor(7 down to 0);∥数据线 signal address:std_logic_vetor(3 down to 0);∥地址线 signal setaddressL:std_logic_vetor(3 down to 0);∥IDL signal setaddressH:std_logic_vetor(3down to 0);∥IDH signal databus:std_logic_vetor(7 down to 0);

signal pulse:std_logic;

begin

set addressL

∥CHAF 和 CHBF异或后到pulse 信号

p1:process(pulse,CLRCOUTER)

begin

if(CLRCOUNTER=0)then

countL

countH

else if (pusle EVENT and pulse=1)then

if (countL>=255)then

count

countH

else countL

end if ;

end if;

end process p1;

p2:process( RD,ALE)

begin

if(CLRCOUNTER=0)then

countL

countH

else if(pulse"EVENT "and pulse=1)then

if(countL>=255)then

countL

countH

end process p1;

p2:process (RD ,ALE)

begin

if(ALE=1)THEN∥地址锁存

Address

else if(RD=0 and address=set addressL)then

databus

else databus

end if;

end process p2;

p07

p05

p03

p01

end BEHAVIOR.

时用RD 、ALE 控制将捕获的数据传到总线上。

3.5 分频器l 设计

FPGAEP2C5T 全局时钟信号外接20MHz 有源品振,为得到20kHz 的PWM 信号,在EP2C5T 内用VHDL 设计了分频器.VHDL 程序如下:

ARCHITURE BEHAVIOR OF FENPIN4 IS

signal count :STD_LOGIC_VECTOR(3 DOWNTO 0);

begin

process(CLKIN)∥CLK 为20MHZ 的时钟信号

begin

if(CLKIN EVENT and CLKIN=1)then

if(count

else if(count>=2)then count

end if;

if(count

else if(count>=2)then CLKOUT

end if;

end if;

end process;

end BEHAVIOR.

分频器2的原理与分频器1相同.将20 kHz方波信号20000分频得到l Hz 的方波信号。

结论

利用VHDL 硬件描述语言在FPGA 中设计的直流伺服电机控制器,可满足对多路直流电机的伺服控制。该控制器在4路直流伺服电机控制系统中可稳定可靠的运行,可在任何恶劣的工业环境条件下保证高品质和高稳定性。该控制器内含可用于正确整流时序的转子位置译码器,以及可对传感器的温度进行补偿的参考电平,同时它还具有一个频率可编程的锯齿波振荡器、一个误差信号放大器、一个脉冲调制器比较器、三个集电极开路顶端驱动输出和三个非常适用于驱动功率场效应管(MOSFET )的大电流图腾柱式底部输出器。此外,MC33035还有欠锁定功能,同时带有可选时间延迟锁存关断模式的逐周限流特性以及内部热关断等特性。其典型的电机控制功能包括开环速度、正向或反向、以及运行使能等。

直流伺服电机控制器

专业名称:电气自动化

姓 名:

联系电话:

【摘要】建立一个永磁无刷直流电动机的数学模型,分析了BLDCM 的控制特性及产生转矩脉动的原因,并对其交流伺服系统控制策略进行了综合评价,提出该系统今后发展方向。近年来,随着高性能永磁材料、微电子技术和电力电子技术特别是大功率半导体器件的快速发展,永磁同步电机得到迅速发展,由于其调速性能优越,克服了直流伺服电动机机械式换向器和电刷带来的一系列限制,且体积小、重量轻、效率高、转动惯量小、不存在励磁损耗问题,因而在高性能,高精度的伺服驱动等领域具有广阔的应用前景。永磁同步电动机按其工作原理、驱动电流和控制方式的不同,可分为具有正弦波反电势的永磁同步电动机(PMSM )和具有梯形波反电势的永磁同步电动机,后者又称为无刷直流电动机(BLDCM )。BLDCM 和PMSM 相比,具有更明显的优越性:反馈装置简单,不需要采用永磁同步伺服电机(PMSM )中的绝对位置编码器或旋转变压器;控制结构更为简便,能使电机和逆变器各自的潜力得到更充分的发挥。因此,永磁无刷直流伺服电机的应用和研究受到广泛的重视。本文从建立永磁无刷直流伺服电动机的数学模型出发,分析了转矩脉动的原因,并对其控制策略等进行了综合探讨。 1 无刷直流伺服电机的组成用装有永磁体的转子取代有刷直流电动机的定子磁极,用具有多相绕组的定子取代电枢,用由固态逆变器和轴位置检测器组成的电子换向器取代机械换向器和电刷,就得到了无刷直流电动机。无刷直流电动机一般由永磁同步电动机、转子位置检测器和电流控制的电压型逆变器组成,见图1。其一相的理想反电动势波形是具有120°电角度平台的梯形波,并由120°方波电流(相电流)供电。其方波电流和梯形波反电动势见图2。图1 永磁无刷直流电动机的组成框图图2 BLDCM 的方波电流和梯形波反电动势 2 无刷直流电机的数学模型

【关键词】 无刷直流电动机(BLDCM); 转矩脉动; 伺服系统中图分类号:TM301.2

目录

第1章 直流伺服电机概述

1.1 伺服的含义…………………………………………………………5

1.2 研究现状……………………………………………………………5

1.3 研究思路……………………………………………………………5

第2章 直流无刷伺服电机

2.1 直流无刷电机的优越性………………………………………………7

2.2 直流无刷电机的控制结构……………………………………………7

2.2.1 P.I.D控制简介……………………………………………………9

2.2.2 电机驱动器的保护措施……………………………………………9

第3章 基于FPGA 直流伺服电机控制器设计

3.1 控制器的构成…………………………………………………………10

3.2 VHDL设计伺服电机控制器……………………………………………13

3.2.1 总线控制器的设计…………………………………………………13

3.2.2系统控制策略及PWM 调制方式…………………………………… 14

3.2.3 PWM 调制方式…………… ………………………………………14

3.3 PWM控制器设计…………… ……………………………………… 16

3.4电机编码器捕获单元设计…………………………………………… 17

3.5 分频器l 设计…………………………………………………………19 结论………………………………………………………………………20 致谢………………………………………………………………………21 参考文献………………………………………………………………22

引言

直流伺服电机处理器.如单片机,DSP 具有产生PWM 信号和捕获电机编码器信号的能力,但对IC 产生PWM 信号的通道数目和电机编码器捕获通道数目有限。直流电机由于具有速度控制容易,启、制动性能良好,且在宽度范围内平滑调速等特点而在冶金、季节制造、轻工等工业部门中得到广泛应用,直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法,励磁控制法控制磁通,其控制功率虽小,但低速时受到饱和和限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈的电感较大,动态响应较差。所以常用的控方法是改变电枢端电压调速的电枢电压控制法。调节电阻R 即可改变端电压,达到调速目的。但这种传统的调压调速的方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM (脉宽调制)是常用的一种调速方法,其原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达转速,在脉宽调速系统中,当电机通电时,其速度增加:电机断电时,其速度减低。只要按照一定的规律改变通、断电时间,即可使电机的速度达到并保持一稳定值。近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。本电机调速系统采用脉宽调制方法,与晶闸管调速相比技术先进,可减少对电源的污染。为使整个系统能正常安全的运行,设计了过流、过载、过压、欠压保护电路,另外还有过压吸收电路。确保了系统的可靠运行。对多个直流电机的伺服控制很难满足要求。故设计基于FPGA 直流伺服电机控制器。

第一章 直流伺服电机概述

1.1 私服的含义 伺服来自英文单词Servo ,指系统跟随外部指令进行人们所期望的运动,运动要素包括位置、速度和力矩。伺服系统的发展经历了从液压、气动到电气的过程,而电气伺服系统包括伺服电机、反馈装置和控制器。在20世纪60年代,最早是直流电机作为主要执行部件,在70年代以后,交流伺服电机的性价比不断提高,逐渐取代直流电机成为伺服系统的主导执行电机。控制器的功能是完成伺服系统的闭环控制,包括力矩、速度和位置等。我们通常说的伺服驱动器已经包括了控制器的基本功能和功率放大部分。

1.2 研究现状 在控制策略上,基于电机稳态数学模型的电压频率控制方法和开环磁通轨迹控制方法都难以达到良好的伺服特性,目前普遍应用的是基于永磁电机动态解耦数学模型的矢量控制方法,这是现代伺服系统的核心控制方法。虽然人们为了进一步提高控制特性和稳定性,提出了反馈线性化控制、滑模变结构控制、自适应控制等理论,还有不依赖数学模型的模糊控制和神经元网络控制方法,但是大多在矢量控制的基础上附加应用这些控制方法。还有,高性能伺服控制必须依赖高精度的转子位置反馈,人们一直希望取消这个环节,发展了无位置传感器技术(Sensorless Control)。至今,在商品化的产品中,采用无位置传感器技术只能达到大约1:100的调速比,可以用在一些低档的对位置和速度精度要求不高的伺服控制场合中,比如单纯追求快速起停和制动的缝纫机伺服控制,这个技术的高性能化还有很长的路要走。

1.3 研究思路

直流电机具有响应快速、较大的起动转矩、从零转速至额定转速具备可提供额定转矩的性能,但直流电机的优点也正是它的缺点,因为直流电机要产生额定负载下恒定转矩的性能,则电枢磁场与转子磁场须恒维持

90°,这就要藉由碳刷及整流子。碳刷及整流子在电机转动时会产生火花、碳粉因此除了会造成组件损坏之外,使用场合也受到限制。交流电机没有碳刷及整流子,免维护、坚固、应用广,但特性上若要达到相当于直流电机的性能须用复杂控制技术才能达到。现今半导体发展迅速功率组件切换频率加快许多,提升驱动电机的性能。微处理机速度亦越来越快,可实现将交流电机控制置于一旋转的两轴直交坐标系统中,适当控制交流电机在两轴电流分量,达到类似直流电机控制并有与直流电机相当的性能。此外已有很多微处理机将控制电机必需的功能做在芯片中,而且体积越来越小;像模拟/数字转换器(Analog-to-digital converter ,ADC) 、脉冲宽度调制(pulse wide modulator ,PWM)…等。直流无刷电机即是以电子方式控制交流电机换相,得到类似直流电机特性又没有直流电机机构上缺失的一种应用。

第二章 直流无刷私服电机

2.1 直流无刷电机的优越性 直流电机具有响应快速、较大的起动转矩、从零转速至额定转速具备可提供额定转矩的性能,但直流电机的优点也正是它的缺点,因为直流电机要产生额定负载下恒定转矩的性能,则电枢磁场与转子磁场须恒维持90°,这就要藉由碳刷及整流子。碳刷及整流子在电机转动时会产生火花、碳粉因此除了会造成组件损坏之外,使用场合也受到限制。交流电机没有碳刷及整流子,免维护、坚固、应用广,但特性上若要达到相当于直流电机的性能须用复杂控制技术才能达到。现今半导体发展迅速功率组件切换频率加快许多,提升驱动电机的性能。微处理机速度亦越来越快,可实现将交流电机控制置于一旋转的两轴直交坐标系统中,适当控制交流电机在两轴电流分量,达到类似直流电机控制并有与直流电机相当的性能。 此外已有很多微处理机将控制电机必需的功能做在芯片中,而且体积越来越小;像模拟/数字转换器(Analog-to-digital converter ,ADC) 、脉冲宽度调制(pulse wide modulator ,PWM)…等。直流无刷电机即是以电子方式控制交流电机换相,得到类似直流电机特性又没有直流电机机构上缺失的一种应用。

2.2 直流无刷电机的控制结构

直流无刷电机是同步电机的一种,也就是说电机转子的转速受电机定子旋转磁场的速度及转子极数(P)影响:N=120.f / P 。在转子极数固定情况下,改变定子旋转磁场的频率就可以改变转子的转速。直流无刷电机即是将同步电机加上电子式控制(驱动器) ,控制定子旋转磁场的频率并将电机转子的转速回授至控制中心反复校正,以期达到接近直流电机特性的方式。也就是说直流无刷电机能够在额定负载范围内当负载变化时仍可以控制电机转子维持一定的转速。

直流无刷驱动器包括电源部及控制部如图(1):电源部提供三相电源给电机,控制部则依需求转换输入电源频率。电源部可以直接以直流电输入(一般为24V) 或以交流电输入(110V/220 V) ,如果输入是交流电就得先经转换器(converter)转成直流。不论是直流电输入或交流电输入要转入电机线

圈前须先将直流电压由换流器(inverter)转成3相电压来驱动电机。换流器(inverter)一般由6个功率晶体管(Q1~Q6) 分为上臂(Q1、Q3、Q5)/下臂(Q2、Q4、Q6) 连接电机作为控制流经电机线圈的开关。控制部则提供PWM(脉冲宽度调制) 决定功率晶体管开关频度及换流器(inverter)换相的时机。直流无刷电机一般希望使用在当负载变动时速度可以稳定于设定值而不会变动太大的速度控制,所以电机内部装有能感应磁场的霍尔传感器(hall-sensor),做为速度闭环回路控制,同时也做为相序控制的依据。但这只是用来做为速度控制并不能拿来做为定位控制。要让电机转动起来,首先控制部就必须根据hall-sensor 感应到的电机转子目前所在位置,然后依照定子绕线决定开启(或关闭) 换流器(inverter)中功率晶体管的顺序,如下(图二)inverter 中之AH 、BH 、CH(这些称为上臂功率晶体管) 及AL 、BL 、CL(这些称为下臂功率晶体管) ,使电流依序流经电机线圈产生顺向(或逆向) 旋转磁场,并与转子的磁铁相互作用,如此就能使电机顺时/逆时转动。当电机转子转动到hall-sensor 感应出另一组信号的位置时,控制部又再开启下一组功率晶体管,如此循环电机就可以依同一方向继续转动直到控制部决定要电机转子停止则关闭功率晶体管(或只开下臂功率晶体管) ;要电机转子反向则功率晶体管开启顺序相反。基本上功率晶体管的开法可举例如下:

AH、BL 一组→AH、CL 一组→BH、CL 一组→BH、AL 一组→CH、AL 一组→CH、BL 一组

但绝不能开成AH 、AL 或BH 、BL 或CH 、CL 。此外因为电子零件总有开关的响应时间,所以功率晶体管在关与开的交错时间要将零件的响应时间考虑进去,否则当上臂(或下臂) 尚未完全关闭,下臂(或上臂) 就已开启,结果就造成上、下臂短路而使功率晶体管烧毁。

当电机转动起来,控制部会再根据驱动器设定的速度及加/减速率所组成的命令(Command)与hall-sensor 信号变化的速度加以比对(或由软件运算) 再来决定由下一组(AH、BL 或AH 、CL 或BH 、CL 或……)开关导通,以及导通时间长短。速度不够则开长,速度过头则减短,此部份工作就由PWM 来完成。PWM 是决定电机转速快或慢的方式,如何产生这样的PWM 才是要达到较精准速度控制的核心。高转速的速度控制必须考虑到系统的CLOCK 分辨率是否足以掌握处理软件指令的时间,另外对于hall-sensor 信号变化的资料存取方式也影响到处理器效能与判定正确性、实时性。至于低转速的速度控制尤其是低速起动则因为回传的hall-sensor 信号变化变得更慢,怎样撷取信号方式、处理时机以及根据电机特性适当配置控制参数值就显得非常重要。或者速度回传改变以encoder 变化为参考,使信号分辨率增加以期得到更佳的控制。电机能够运转顺畅而且响应良好,P.I.D. 控制的恰当与否也无法忽视。之前提到直流无刷电机是闭回路控制,因此回

授信号就等于是告诉控制部现在电机转速距离目标速度还差多少,这就是误差(Error)。知道了误差自然就要补偿,方式有传统的工程控制如P.I.D. 控制。但控制的状态及环境其实是复杂多变的,若要控制的坚固耐用则要考虑的因素恐怕不是传统的工程控制能完全掌握,所以模糊控制、专家系统及神经网络也将被纳入成为智能型P.I.D. 控制的重要理论。

2.2.1 P.I.D控制简介

一般P.I.D 控制如下

(dutycycle)=(dutycycle)p + (dutycycle)i + (dutycycle)d

P. 控制(比例控制) :输出与输入误差讯号成正比关系,即将误差固定比例修正,但系统会有稳态误差。

I . 控制(积分控制) :当系统进入稳态有稳态误差时,将误差取时间的积分,即便误差很小也能随时间增加而加大,使稳态误差减小直到为零。

D. 控制(微分控制) :当系统在克服误差时,其变化总是落后于误差变化,表示系统存在较大惯性组件或(且) 有滞后组件。微分即是预测误差变化的趋势以便提前作用避免被控量严重冲过头。

2.2.2 电机驱动器的保护措施

对于驱动器还要有保护措施,当负载过大或不当使用时会造成大电流而将功率晶体管烧毁。为了保护因电流超过规格而破坏驱动器,一般会以加大功率晶体管耐电流或加电流sensor 做为保护。其次当电机负载不小的时候,在停止转动时由电机端回送至驱动器的能量及过电压都将危及驱动器,这可配合过电压保护电路加上回生能量消散电路来防治。其它尚有hall-sensor 正常与否判定也会影响PWM 控制的正确性,这可由控制部判断并适时警告即可。

DC无刷电机系列控制疑难杂症处理案例欲以电流值的大小来判断目前电机的负载状况是否有过载的迹象,该如何测量? 将电源线的其中一条拔起后,将电表(请先调至安培档)的一端接至驱动器的电源CONNECTOR 其中一接脚,另一端则接至电源插座的另一接脚,如此即可测量出在现阶段的负载下所必须耗费的电流值,之后再依此电流值来对照电机的电流/扭力对照表,如此即可得知目前的负载状况是正常或是否有过载的情形发生。制直流伺服电机电磁转矩和速度的方法有两种:

(1) 改变电枢电压Ua 即改变电枢电流Ia 的方法;

(2

) 改变励磁电流If 即改变磁通ф的方法。

3 基于FPGA 直流伺服电机控制器设计

3.1 控制器构成

在Cyclone EP2C5T FPGA 内部设计各4路PWM 发生器和编码器脉冲捕获单元。其PWM 信号通道和编码器脉冲捕获单元数目可基于VHDL 硬件描述语言按需调整。如图1。包括总线和4路PWM 控制器、4路脉冲捕获单元、分频器l ,分频器2。总线控制器根据WR 、RD 、ALE 信号完成FPGA 内部地址锁存及8位OUTPUT 总线.8位INPUT 总线的控制。FPGA 外接20 MHz有源晶振,时钟信号经分频器1分频后得到20kHz 占空比为50%的方波信号.4路PWM 控制器以该信号为基准,产生频率为20kHz ,占空比可调的4路PWM 信号.4路PWM 信号可依4位地址线独立控制。4路脉冲捕获单元用于对电机编码器脉冲信号进行捕获.通过总线控制器将脉冲捕获值读出到8位I /O 口上。 伺服控制器已成为不仅仅是放大器的伺服电机。今天,伺服控制器必须能够作出了一系列决定,并提供一种手段,接收信号来自外部传感器和控制系统中,并发出信号,主机控制器和PLC 的接口,可能与伺服系统。图11-87显示了图片的几个伺服电机和放大器。各组成部分在这个图片看起来类似的各种其他类型的电机和控制器。 图11-88显示了图伺服控制器,以便您可以看到一些分歧与其他类型的电机控制器。该控制器在此图是直流伺服电机。该控制器有三个港口,使信号或发送信号的控制器。电源供应器,伺服电机,和转速表连接到端口P3底部的控制器。你可以看到,电源电压为115伏单相交流。一个主要的断开连接的一系列与李线。在李和N 线路供电的隔离降压变压器。二次电压跨前可以是任何电压的20和85伏特。该控制器接地端8 。你应该记住,地面在这一点上是用来提供短路保护所有金属部件的系统

该伺服电机连接到终端控制器在第4和第5 。终端5 +和终端4 -. 3号候机楼提供了地面的屏蔽的连接线,电机和控制器。在转速表连接到终端1和2 。 2号候机楼是+和终点站1 -.在此盾构电缆接地电动机案件。电线连接到这个港口将大于导线连接到其他港口,因为它们必须能够携带更大的电机电流。如果电动机采用了外置散热风扇,这将是连接通过这个港口。在大多数情况下,冷却风扇将采用单相或三相交流电压保持在恒定的水平,如110伏或240伏。

图11-86 (一)陈德良,sistors 连接到三个绕组的无刷伺服电机。

(二)波形的三个独立的电压,用于功率电机的三个风消息。(三)波形的信号用来控制晶体管序列,提供了波形图前,(四)波形的整体反电势。 分频器2将20 kHz方波信号20000分频得到IHz 占空比为50%的方波信号,直接驱动LED 灯,指示系统状态.EP2C5FPGA 内部逻辑在Qoartusll 。 6.0环境下使用VHDL 硬件描述语言实现。

3.2 VHDL设计伺服电机控制器

3.2.1总线控制器设计

写入伺服电机控制器是PWM 调节值,读出的是电机编码器数值.因此.需设计总线控制器完成上述过程.基于FPGA 的直流伺服电机控制器为外部处理器(如单片机等)提供总线接口。类似8051的MCU 地址线、数据线复用的特点设计了总线控制器.控制总线包括WR 、RD 、ALE ;数据/地址总线为8位I /O 。

总线控制器逻辑电路如图2,使用2片74244实现。WR 、RD 信号使输入/输出总线交替进入高阻态,实现伺服电机控制器数据的写入或读出。下面介绍系统各部分组成及信号流程。

1) 通信部分:实现位置给定信号的数字化,上位机通过专用通信芯片将位置给定信号发送到DSP ,同时接收DSP 反馈的负载当前位置信号。

2) 信号反馈及功率保护部分:系统将所采样的电流、位置反馈信号经

滤波和电平变换,送入DSP 的A /D 采样引脚。功率保护信号经电平变换后直接送入DSP 的功率保护引脚,当出现低电平时,将触发DSP 的功率保护中断,DSP 将封锁对应通路的PWM 波。

3) 转子位置同步部分:霍尔传感器将电机转子位置以三路高低电平信号传人DSP 的GPIO 引脚,DSP 将采样所得信号与换相表相比较控制三相逆变器功率管的开通关断使之与转子位置相匹配。

4) 隔离、驱动及逆变部分:DSP 发出的PWM 波经光耦隔离后送入功率驱动芯片,经过功率放大后送入三相逆变器的功率管的栅极和源极之间,控制功率管的开关动作。

5) 电机、减速机构及负载部分:三相逆变电路控制电机动作,电机输出经减速装置带动负载。

系统负载为弹性负载,即零位负载转矩为零,向正负两方向偏转越大,负载转矩越大。

3.2.2 系统控制策略及PWM 调制方式

控制策略

本位置伺服系统控制策略采用位置一速度一电流三环控制。三环参数调试时遵循从内环到外环的顺序,先调试电流环,然后是速度环,最后位置环。

电流环处于最内环,调试时首先考虑其快速跟随性,即输出电流能够快速跟随给定电流的变化。本系统电流环采用比例控制算法。

速度环为三环中的中间环,采用PI 控制算法。

速度环的反馈由位置反馈的微分产生,由于位置反馈信号中存在较大的干扰,直接微分所得速度反馈信号失真情况严重,故通过对位置反馈信号进行模拟和数字滤波,延长位置微分信号之间的时间间隔(选取10个位置采样周期的间隔计算一次速度) ,对速度信号进行再次数字滤波等措施来改善。

位置环作为最外环,直接决定了伺服系统的动和静态性能,故位置环的调试是三环中最关键的部分。传统PID 算法虽具有结构简单、调试方便等特点,可是KpKiKd 三个参数固定,难以同时保证系统具有较快的响应速度、较好的稳态精度和较小的超调量。由于系统负载为弹性负载,故负载力矩的大小与负载偏离零位的角度成正比;并且负载从零位到给定位置过程中,负载力矩也在逐渐增大,而如果KpKiKd 三个参数固定,则系统的快速性及超调量较难同时达到较好的状态。综合上述两点,结合实验调试经验,位置环采用非线性PID 控制,根据位置给定信号的大小和位置给定与位置反馈的差值作为判断条件,在不同的条件下采用不同的PID 结构和参数。在实际调试过程中,由于位置反馈信号中存在较大的随机干扰信号,加入积分后,系统总是难以调试到令人满意的状态,而采用非线性PD 控制

经过调试后较容易达到系统的各项性能指标要求。

3.2.3 PWM调制方式

逆变器多采用三相六状态120°两两导通方式,在每一瞬间有两个功率管导通,每隔1/6电周期(60°电角度) 换相一次,每次换相一个功率管,每一个功率管在一个电周期内导通120°电角度。

在这种方式下,先判断电机当前是正转还是反转,正反转分别对应一个换相表,图2所示。为传统双极型PWM 调制方式的功率管开关表。在一种转向下,一个PWM 周期内MOSFET 、桥中只有两个管子有效,即高电平时导通,低电平时截止。此时如占空比为O 时,无电压输出,电机不动;占空比为100%时,输出电压最大,电机输出最大转矩。这种情况时,系统对较小角度的响应不明显,造成其各动作过程存在实验结果难以重现的问题。且灵敏度下降,跟踪一个渐变信号时容易出现“爬行" 现象。

根据PWM 控制方式存在的问题,决定采用改进双极型PW_M控制方案:在每一瞬间有两个功率管导通,一个PW_M周期内共有4个功率管出现开关现象,他们在三相逆变器中为其中两相的上下桥臂。

这4个功率管和电机、直流母线组成两个独立回路。在三相六状态120两两导通方式下,一个PWM 周期内,只会有2个桥臂的功率管有开关现象,另一桥臂的功率管始终关断。改进双极型PWM 控制方案如图3所示。每一有开关现象的桥臂的上下两个功率管的PwM 控制信号始终互补(暂不考虑死区) ,即上功率管导通时,下功率管关断;上功率管关断时,下功率管导通。

因此,PWM 信号的高电平对应一条通路(1、4) 导通,而低电平则对应与之相对的另一通路(2、3) 导通。从使电机正反转的角度来说,由于这两条通路导通时对应的输出电压是正负相反的,所以这两条通路分别产生使电机正转和反转的效果。

当PWM 的频率较高时,这种正反转交替的现象不明显,对于电机停在一稳定位置时,功率管在不断换相,但从位置信号、声音等方面都和电机断电静止时没有明显差别,只是观测其电流可以看到有高频波动,不过幅值较小,故当占空比为50%时,高低电平所占时间相同,此时电机转子位置不变,即负载位置不变;当占空比为O 时,电机以最大转矩向一个方向转动(本系统为正向) ;当占空比为1 OO %时,电机以最大转矩向另一个方向转动(本系统为负向) 。

这种控制方式相对于传统的控制方式具有对称性好、灵敏度高、实验一致性好等优点。同时由于增加了功率管的开关动作,造成了额外的开关损耗和稳态电流损耗。为达到良好的控制性能,这些是可以接受的。而且由于每个PWM 周期内导通桥臂的上下两个功率管都进行换相,增加了出现功率桥臂直通的可能性,因此在软硬件方面都要采用防直通措施。

实验结果带额定负载、给定+2°

2) 带额定负载、给定O °与±2°之间位置阶跃信号时的反馈波形

3) 带额定负载、给定+1 O°位置阶跃信号时的反馈波形如图6所示。 实验结果过渡过程时间(40 ms)、超调量(O)、稳态精度等方面都可以达到系统控制要求。

3.3 PWM控制器设计

PWM 控制器的VHDL 硬件描述语言如下:

ARCHITECTURE BEHAVIOR OF

PWMCONTROLIER IS

signal count:std_logic_vector(7 downto 0);

signal value:std_logic_vector(7 downto 0);

signal databus:std_logic_vetor(7 downto 0);∥数据线 signal address:std_logic_vetor(3 downto 0); ∥地址线 signal setaddress:std_logic_vetor(3 downto 0); ∥ID SIGNAL PWM0;std_logic; ∥PWM 信号输出

begin

set address

EN

P1:process(ALE,WR,P27,P26,P25,P24)

begin

if(ALE=1) then

address

elsif(wr=0 and address=set address)then

databus

end if;

end process p1;

p2:process (clk) ∥clk 为20KHZ 方波信号

begin

if(clk EVENT and clk=1) then

coun

if(count

pwm 0

else pwm 0

end if ;

end if ;

end process p2;

pwm

end behavior.

因设计中有4路PWM 控制器,为每个控制器分配一个ID 。上面程序中setAddress 为该PWM 控制器的ID ,当地址线Address 选中该ID 时,表明对该PWM 控制器操作.利用ALE 、WR 信号将地址线信号锁存。每个clk 信号上升沿到来时将count 加l 处理。比较count 与databus 的值,实现PWM 信号占空比的调整。并可根据需要在FPGA 资源允许前提下,任意增加PWM 控制器。

3.4电机编码器捕获单元设计

电机编码器捕获单元获得电机码盘信号后,通过总线控制器送给MPU 读取,来判断电机的转动速度。VHDL 硬件描述语言的程序源码如下:

ARCHITURE BEHAVIOR OF COUNTER IS

signal countL:logic_vetor (7 down to 0);

signal countH:logic_vetor (7 down to 0);

signal port0:std_logic_vetor(7 down to 0);∥数据线 signal address:std_logic_vetor(3 down to 0);∥地址线 signal setaddressL:std_logic_vetor(3 down to 0);∥IDL signal setaddressH:std_logic_vetor(3down to 0);∥IDH signal databus:std_logic_vetor(7 down to 0);

signal pulse:std_logic;

begin

set addressL

∥CHAF 和 CHBF异或后到pulse 信号

p1:process(pulse,CLRCOUTER)

begin

if(CLRCOUNTER=0)then

countL

countH

else if (pusle EVENT and pulse=1)then

if (countL>=255)then

count

countH

else countL

end if ;

end if;

end process p1;

p2:process( RD,ALE)

begin

if(CLRCOUNTER=0)then

countL

countH

else if(pulse"EVENT "and pulse=1)then

if(countL>=255)then

countL

countH

end process p1;

p2:process (RD ,ALE)

begin

if(ALE=1)THEN∥地址锁存

Address

else if(RD=0 and address=set addressL)then

databus

else databus

end if;

end process p2;

p07

p05

p03

p01

end BEHAVIOR.

时用RD 、ALE 控制将捕获的数据传到总线上。

3.5 分频器l 设计

FPGAEP2C5T 全局时钟信号外接20MHz 有源品振,为得到20kHz 的PWM 信号,在EP2C5T 内用VHDL 设计了分频器.VHDL 程序如下:

ARCHITURE BEHAVIOR OF FENPIN4 IS

signal count :STD_LOGIC_VECTOR(3 DOWNTO 0);

begin

process(CLKIN)∥CLK 为20MHZ 的时钟信号

begin

if(CLKIN EVENT and CLKIN=1)then

if(count

else if(count>=2)then count

end if;

if(count

else if(count>=2)then CLKOUT

end if;

end if;

end process;

end BEHAVIOR.

分频器2的原理与分频器1相同.将20 kHz方波信号20000分频得到l Hz 的方波信号。

结论

利用VHDL 硬件描述语言在FPGA 中设计的直流伺服电机控制器,可满足对多路直流电机的伺服控制。该控制器在4路直流伺服电机控制系统中可稳定可靠的运行,可在任何恶劣的工业环境条件下保证高品质和高稳定性。该控制器内含可用于正确整流时序的转子位置译码器,以及可对传感器的温度进行补偿的参考电平,同时它还具有一个频率可编程的锯齿波振荡器、一个误差信号放大器、一个脉冲调制器比较器、三个集电极开路顶端驱动输出和三个非常适用于驱动功率场效应管(MOSFET )的大电流图腾柱式底部输出器。此外,MC33035还有欠锁定功能,同时带有可选时间延迟锁存关断模式的逐周限流特性以及内部热关断等特性。其典型的电机控制功能包括开环速度、正向或反向、以及运行使能等。


相关内容

  • 数控机床交流伺服控制系统设计
  • 毕业论文设计 题目:姓名:所在系部:班级名称: 学号:指导老师: 2011年12月 毕业设计(论文)任务书 设计(论文)题目:数控机床交流伺服控制系统设计 指导教师:职称:类别:毕业论文 学生:学号:设计(论文)类型:论文专业:机电一体化班级:是否隶属科研项目:否 1.设计(论文)的主要任务及目标 ...

  • 51单片机驱动 步进电机
  • 编号: 基于MCS-51单片机的步进电机驱动器2008年03月10日 院(系) 姓名 论文(设计)题目机电工程系张恒凯专业学号自动化[1**********]6基于MCS-51单片机的步进电机控制器 一.选题目的和意义 步进电机是电机家族中近年来发展较快.具有广阔应用前景的重要一员,它能将输入的电脉 ...

  • 机电毕业设计中的经典[1]
  • 绪 论 本次设计完成冲床自动控制系统的总体设计,包括机械的尺寸设计.机械的 主要零件和组成部分及在机体上结构位置设计.激振源的确定及计算(振动电 机).悬挂弹簧设计.机械结构的动力学分析,包括机械的运动学参数确定(振 动方向角.振幅.振动圆频率等).物体在槽体上的运动情况分析.动力学模型 确定及动力 ...

  • 课题研究的背景
  • 引言 1.1 课题研究的背景 由于直流电动机具有良好的线性调速特性,简单的控制性能,在工业场合应用广泛.近代,由于生产技术的发展,对电气传动在起制动.正反转以及调速精度.调速范围.静态特性.和动态响应方面都提出了更高的要求,寻找更高效.更可靠.成本更低的直流电机控制方法成为新世纪研究的热点. 近十几 ...

  • 步进电机滑台PLC控制课程设计报告
  • 大连民族学院机电信息工程学院 自动化系 PLC 课程设计报告 题 目: 专 业: 班 级: 学生姓名: 卢真伊.谭潏.潘竹馨 指导教师: 张涛 步进电机滑台PLC 控制 自动化 自动化122,123,124 谭今文.周鸿儒.唐海涛. 设计完成日期: 2015年 5月7日 目 录 1任务分析和性能指标 ...

  • 永磁电机控制--毕业论文开题报告
  • 毕业设计开题报告 课题名称:电动汽车用永磁同步电机及其控制系统 一 .本课题设计的目的: 1.学习了解电动汽车的发展现状及未来的发展趋势. 2.学习电动汽车驱动系统的相关知识,掌握永磁同步电机的数学模型以及永磁同步电动机控制系统的主流控制策略. 3.深入学习电动汽车用永磁同步电机的控制原理.控制方法 ...

  • 直流电机转速测量与控制系统设计
  • 直流电机转速测量器的设计与制作 班级:自动化 11031 姓名:向锐嵘 学号:1302250135 指导老师:向阳 一. 课程设计: 直流电机转速测量与控制系统实验. 二.课程设计目的: 1.了解以微机为核心的闭环控制系统的组成原理.掌握电机转速闭环控制系统的构成方法. 2.了解霍尔器件的工作原理: ...

  • 无刷电机调速
  • 摘要 本无刷直流电机驱动电路采用宏晶公司的8位单片机STC12C5A60S2作为控制核心,换向线路采用三相桥式电路,主电路功率器件选用6个N沟道功率场效应管,半桥驱动电路采用IR2104芯片,桥式电路工作方式为三相六拍的工作方式,PWM驱动信号的开关频率为20kHz,电机调速采用开环调速,带过流.过 ...

  • 三相步进电机控制程序及电路
  • 题 目:课程名称:学生姓名:学生学号:系 别:专 业:年 级:任课教师: 课程论文 三相步进电机控制系统的设计 Proteus 刘卫东 1214030221 电子工程学院 通信工程 2012级 王丽 电子工程学院制 2015年4月 三相步进电机控制系统的设计 学生:刘卫东 指导教师:王丽 电子工程学 ...